非プロジェクト モードでのブロック デザインのアップグレード - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計 (UG994)

Document ID
UG994
Release Date
2023-10-18
Version
2023.2 日本語

非プロジェクト モード フローを使用して、前のリリースのプロジェクトを開き、ブロック デザインを現在のバージョンの Vivado にアップグレードできます。ただし、ブロック デザインにアウト オブ コンテキスト (OOC) モードが使用される場合は、ブロック デザインを非プロジェクト モードに追加する前に、ブロック デザインをアップグレードして、グローバル モードで生成しておく必要があります。次のスクリプトを参照して、ブロック図の IP をアップグレードしてください (グローバル合成オプションでブロック デザインが合成された場合のみ)。

# Create a new project in memory
create_project -in_memory -part <partname> 

# Open the block diagram
read_bd <path_to_bd>/<bd_name>.bd

# Make the block diagram current
current_bd_design <bd_name>.bd

# Upgrade IP
upgrade_ip [get_bd_cells -hierarchical * ]

# Reset output products
reset_target {synthesis simulation implementation} [get_files 
<path_to_bd>/<bd_name>.bd]

# Generate output products
generate_target {synthesis simulation implementation} [get_files
<path_to_bd>/<bd_name>.bd]

# Create HDL Wrapper (if needed)
make_wrapper -files [get_files <path to bd>/<bd_name>.bd] -top

# Overwrite any existing HDL wrapper from before
import_files -force -norecurse <path_to_project>/project_name/project_name.srcs/sources_1/bd/bd_name/hdl/bd_name_wrapper.v
update_compile_order -fileset sources_1

# Continue through implementation