手順 6: 消費電力プロパティの編集と消費電力解析の詳細設定 - 2023.2 日本語

Vivado Design Suite チュートリアル: 消費電力解析および最適化 (UG997)

Document ID
UG997
Release Date
2023-10-18
Version
2023.2 日本語

このデザインでは、リセットがサイクルの 10% アサートされると想定します。消費電力を再度見積もるため、スイッチング アクティビティを設定できます。

  1. Net Properties ウィンドウで Edit Properties ボタンをクリックします。
  2. Edit Power Properties ダイアログ ボックスで、Toggle rate4%Static probability0.1 に変更します。

  3. OK をクリックします。
  4. Net Properties ウィンドウで、Toggle Rate および Static Probability の値が色付きで表示され、ユーザー定義であることが示されています。

    [Tcl Console] ウィンドウで、実行される同等の Tcl コマンドを確認できます。

  5. Reports > Report Power をクリックして消費電力レポートを再生成します。
  6. Output タブで Output text Filepower_2.pwrOutput XPE Filepower_2.xpe に設定します。
  7. Switching タブで Switching Activity for Resets:None に設定し、OK をクリックします。
  8. Power ウィンドウに示される power_2 report レポートの全消費電力は、power_1 レポートのものとは異なります。dut/dut_reset 信号の Signal Rate が変更されたので、全消費電力が削減されています。この信号はリセット信号であるため、アクティビティが増加するとデザイン内のほかの信号のアクティビティが大幅に減少します。dut/dut_reset 信号の Signal Rate は、[Properties] ウィンドウと消費電力レポートの Set/Reset ビューの両方で、User Defined として色表示されます。

AMD では、影響の大きい I/O ポート、リセットやクロック イネーブルなどの制御信号、ファンアウトの大きいネットの信号レートおよび High の割合 (%High) を再確認することをお奨めします。これらの設定を調整することで、消費電力がより正しく見積もられるようにできます。

スイッチング アクティビティ詳細は、 『Vivado Design Suite ユーザー ガイド: 消費電力解析および最適化』 (UG907) を参照してください。

ヒント: Tcl コンソールでは、信号レートとスタティック確率を変更するには set_switching_activity コマンドを使用しコンソール、設定されている値を確認するには report_switching_activity を使用します。
set_switching_activity -signal_rate 4 -static_probability 0.1 \[get_nets dut/dut_reset]
report_switching_activity [get_nets dut/dut_reset]
重要: スイッチング アクティビティは、トグル レートで指定することもできます。トグル レートは常にクロックに関連付けられています。プライマリ ポートは、set_input_delay および set_output_delay コマンドを使用して、特定のクロックと関連付けることができます。クロックの関連付けが検出されない場合は、[Report Power] によりデスティネーション クロックを基準にポートが関連付けられます。

クロックが 100 MHz でトグル レートが 4 の場合、同等の信号レートは 4 MTr/s (signal_rate = toggle_rate * Freq = 4 * 100 MHz) となります。