Add Delay Input Delay Command Option - 2023.1 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2023-05-16
Version
2023.1 English

The -add_delay option must be used if:

  • A max (or min) input delay constraint exists, and
  • You want to specify a second max (or min) input delay constraint on the same port.

This option is commonly used to constrain an input port relative to more than one clock edge, as, for example, DDR interfaces.

You can apply an input delay constraint only to input or bi-directional ports, excluding clock input ports, which are automatically ignored. You cannot apply an input delay constraint to an internal pin.