Add Delay Output Delay Command Option - 2023.1 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2023-05-16
Version
2023.1 English

You must use the -add_delay option if:

  • A max output delay constraint already exists, and
  • You want to specify a second max output delay constraint on the same port.

The same is true for a min output delay constraint. This option is commonly used to constrain an output port relative to more than one clock edge, as, for example, rising and falling edges in DDR interfaces, or when the output port is connected to several devices that use different clocks.

Important: You can apply an output delay constraint only to output or bi-directional ports. You cannot apply an output delay constraint to an internal pin.