Supported Simulators - 2022.2 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2022-10-19
Version
2022.2 English

Following are the supported simulators in the Vivado Design Suite:

Table 1. Supported Simulators
Simulator Version Integrated with Vivado Integrated Design Environment
Vivado® Simulator 2022.2 Integrated with the Vivado integrated design environment, where each simulation launch appears as a framework of windows within the Vivado IDE.
Siemens EDA Questa Advanced Simulator 2022.2 Yes
Siemens EDA ModelSim Simulator 2022.2 Yes
Synopsys Verilog Compiler Simulator (VCS) S-2021.09-SP2 Yes
Aldec Rivera-PRO Simulator 2022.04 Yes
Aldec Active-HDL 13.0 Yes
Cadence Xcelium Parallel Simulator 21.09.009 Yes

See the Vivado Design Suite User Guide: Release Notes, Installation, and Licensing (UG973) for the supported versions of third-party simulators.

For more information about the Vivado IDE and the Vivado Design Suite flow, see:

  • Vivado Design Suite User Guide: Using the Vivado IDE (UG893)
  • Vivado Design Suite User Guide: Design Flows Overview (UG892)