参考资料 - 1.3 简体中文

UltraScale+ 器件 Integrated Block for PCI Express 产品指南 (PG213)

Document ID
PG213
Release Date
2022-11-16
Version
1.3 简体中文
以下技术文档是非常实用的补充资料,可配合本指南一起使用:
  1. PCI-SIG 文档 (www.pcisig.com/specifications)
  2. UltraScale 器件 Gen3 Integrated Block for PCI Express LogiCORE IP 产品指南(PG156)
  3. DMA/Bridge Subsystem for PCI Express 产品指南(PG195)
  4. Virtex-7 FPGA Integrated Block for PCI Express LogiCORE IP 产品指南(PG023)
  5. UltraScale 架构配置用户指南(UG570)
  6. Kintex UltraScale FPGA 数据手册:DC 和 AC 开关特性(DS892)
  7. Virtex UltraScale FPGA 数据手册:DC 和 AC 开关特性(DS893)
  8. UltraScale 架构 PCB 设计用户指南(UG583)
  9. UltraScale 与 UltraScale+ FPGA 封装和管脚分配产品规格(UG575)
  10. UltraScale 架构 GTH 收发器用户指南(UG576)
  11. UltraScale 架构 GTY 收发器用户指南(UG578)
  12. Zynq UltraScale+ 器件封装和管脚分配产品规格用户指南(UG1075)
  13. Kintex UltraScale+ FPGA 数据手册:DC 和 AC 开关特性(DS922)
  14. Virtex UltraScale+ FPGA 数据手册:DC 和 AC 开关特性(DS923)
  15. Versal ACAP Integrated Block for PCI Express LogiCORE IP 产品指南(PG343)
  16. Vivado Design Suite 用户指南:采用 IP 进行设计(UG896)
  17. Vivado Design Suite 用户指南:采用 IP integrator 设计 IP 子系统(UG994)
  18. Vivado Design Suite 用户指南:入门指南(UG910)
  19. Vivado Design Suite 用户指南:使用约束(UG903)
  20. Vivado Design Suite 用户指南:逻辑仿真(UG900)
  21. Vivado Design Suite 用户指南:编程和调试(UG908)
  22. Vivado Design Suite 教程:Dynamic Function eXchange(UG947)
  23. In-System IBERT LogiCORE IP 产品指南(PG246)
  24. ATX 电源设计指南
  25. 使用集成端点 PCI Express 块采用 Gen2 x8 和 Gen3 x8 配置进行 PIPE 模式仿真(XAPP1184)
  26. AMBA AXI4-Stream 协议规范(ARM IHI 0051A)