含现场更新的串联配置软件流程 - 1.3 简体中文

UltraScale+ 器件 Integrated Block for PCI Express 产品指南 (PG213)

Document ID
PG213
Release Date
2022-11-16
Version
1.3 简体中文
请遵循以下步骤以构建串联 IP 并编译设计样本。 Vivado® Design Suite 可以针对 2 种设计配置来处理源于 IP 自定义的设计,直至完成比特流生成。
  1. 启动“Customize IP”(自定义 IP)对话框,以便自定义 UltraScale+ 器件 Integrated Block for PCIe 核。
    注释: 仅限 IP 1.3 版或更高版本才支持此解决方案。
  2. 选中Tandem PCIe with Field Updates(含现场更新的串联 PCIe),并自定义 PCIe IP 核心。必须选中Advanced Mode(高级模式)选项才能看到该选项。
  3. 使用默认“Out of context per IP”(非关联按 IP)综合选项生成输出文件。这样即可通过综合 IP 来创建可插入完整设计的检查点。
  4. 在“Design Sources”(设计源)选项卡中右键单击 IP 并单击Open IP Example Design(打开 IP 设计示例)。
    重要: 这样所交付的设计必须按脚本化非工程模式来处理,因为针对 DFX 尚未启用设计工程样本。

    设计示例随附有一套脚本以供非工程 Tcl 流程使用。这些脚本样本位于 field_update_scripts 文件夹中,但这些脚本全部供设计示例文件夹中的主脚本引用。您可根据需要将此设计示例转换为工程模式。

  5. Vivado Tcl shell 中,使用 source 命令找到 design_field_updates.tcl,此文件位于 project 目录下。此文件可将设计示例编译为 2 个版本:
    • 使用默认设置的 Ver1 是初始设计,因此可使用来自该版本的静态设计(本质上只是 PCIe IP)。
    • Ver2 逻辑上与 Ver1 相同,但显示为独立配置,以提供处理第 2 个设计版本时的结果示例。在实际用户设计中,这些逻辑模块将被不同功能所替代。