将串联用于用户硬件设计 - 1.3 简体中文

UltraScale+ 器件 Integrated Block for PCI Express 产品指南 (PG213)

Document ID
PG213
Release Date
2022-11-16
Version
1.3 简体中文

有 2 种方法可用于将串联流程应用于用户设计。第 1 种方法是使用核随附的设计示例。第 2 种方法是将 PCIe IP 导入现有设计,并根据需要更改设计层级结构。

无论您采用何种方法,都应创建 PCIe 设计示例以获取串联解决方案所需的时钟结构、时序约束和物理块 (Pblock) 约束示例。