方法 1 - 使用现有 PCI Express 设计示例 - 1.3 简体中文

UltraScale+ 器件 Integrated Block for PCI Express 产品指南 (PG213)

Document ID
PG213
Release Date
2022-11-16
Version
1.3 简体中文
这是 PCI Express 核必要操作方面最简单的方法,但可能并非对所有用户都可行。如果此方法满足您的设计结构需求,则请遵循下列步骤进行操作。
  1. 创建设计示例。

    串联 PROM UltraScale+ 工具流程示例串联 PCIe UltraScale+ 工具流程示例 中所述方式生成设计示例。

  2. 插入用户应用。

    将 PIO 设计示例替换为用户设计。建议在顶层设计中插入全局元素和顶层元素(例如,I/O 和全局时钟设置)。

  3. 根据开发板设计需要,取消注释并修改 SPI 或 BPI 闪存编程设置。
  4. 正常实现该设计。