生成核 - 1.3 简体中文

UltraScale+ 器件 Integrated Block for PCI Express 产品指南 (PG213)

Document ID
PG213
Release Date
2022-11-16
Version
1.3 简体中文
要在 Vivado® IDE 中使用默认值生成核,请遵循以下步骤进行操作:
  1. 启动 Vivado IP 目录。
  2. 选中File > Project > New(文件 > 工程 > 新建)。
  3. 输入工程名称和位置,然后单击Next。此示例使用的是 project_name.xprproject_dir
  4. 在“New Project Wizard”(新建工程向导)页面中,请勿添加源代码、现有 IP 或约束。
  5. 请在下方的“Part”(器件)选项卡中选择下列筛选选项:
    • Family: Kintex® UltraScale+™
    • Device:xcku11p
    • Package:ffva1156
    • Speed Grade:-3
    注释: 如果选择了不受支持的硅片器件,那么在核列表中,该核将灰显(不可用)。
  6. 从列表中选择 xcku11p-ffva1156-3-e
  7. 在最终工程汇总信息页面中,单击OK(确定)。
  8. Vivado IP 目录中,展开Standard Bus Interfaces > PCI Express(标准总线接口 > PCI Express),然后双击UltraScale+ Devices Integrated Block for PCIe 即可显示“Customize IP”(自定义 IP)对话框。
  9. 在“Component Name”(组件名称)字段中,输入核的名称。
    注释: 在此示例中使用的名称为 <component_name>
  10. 在“Device/Port Type”(器件/端口类型)下拉菜单中,选择核的相应器件/端口类型:Endpoint(端点)或Root Port(根端口)。
  11. 单击OK以使用默认参数生成核。