设计流程步骤 - 1.3 简体中文

UltraScale+ 器件 Integrated Block for PCI Express 产品指南 (PG213)

Document ID
PG213
Release Date
2022-11-16
Version
1.3 简体中文

本章节描述了的自定义和生成方式、的约束方式以及此 IP 的仿真、综合与实现的具体步骤。如需获取有关标准 Vivado® 设计流程以及有关 IP integrator 的详细信息,请参阅以下 Vivado Design Suite 用户指南:

  • Vivado Design Suite 用户指南:采用 IP integrator 设计 IP 子系统(UG994)
  • Vivado Design Suite 用户指南:采用 IP 进行设计(UG896)
  • Vivado Design Suite 用户指南:入门指南(UG910)
  • Vivado Design Suite 用户指南:逻辑仿真(UG900)