设计结构 - 1.3 简体中文

UltraScale+ 器件 Integrated Block for PCI Express 产品指南 (PG213)

Document ID
PG213
Release Date
2022-11-16
Version
1.3 简体中文

第 2 项差异在于设计结构。要将任一用户应用从某一版本切换为下一个版本,必须完全将其封入其自己的层级内。此例化的接口无法更改;否则,顶层静态设计需进行重新编译。除 UltraScale+ 器件 Integrated Block for PCIe 核(位于顶层以下其自身层级内)以及置于 bank 65 内的所有 I/O 逻辑(缓冲器等)之外的所有一切都位于该层级及下层层级内。这意味着,所有其它 bank 的所有 I/O 逻辑都必须置于此处,且不得在顶层进行推断,因此需对 I/O 缓冲器进行例化。

注释: 要查看此设计结构的简单示例,请以 KCU116 演示板为目标生成设计示例。

另一个设计结构要求是要置于配置框架内的所有元素都必须包含在此顶层设计内(或者包含在另一个层级内并与 PCIe IP 和用户应用分离)。这些元素包括 BSCAN、ICAP、STARTUP 和相关组件。请参阅 Vivado Design Suite 用户指南:Dynamic Function eXchange(UG909),以获取完整列表。这些元素必须按层级隔离,因为不允许对其进行动态重配置。这暗示对于需要这些元素的 IP 核(例如,Vivado Debug Hub 和 Memory Interface Generator (MIG),两者都使用 BSCAN),必须谨慎处理并确保以安全方式来实现。欲知详情,请参阅对含现场更新的串联 PCIe 设计进行调试

串联配置的所有其它注意事项同样适用于含现场更新的串联 PCIe