使用检查点分析不同阶段的设计 - 2023.2 简体中文

Versal 自适应 SoC 硬件、IP 和平台开发方法指南 (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 简体中文

Vivado Design Suite 使用物理设计数据库来存储布局布线信息。设计检查点文件 (.dcp) 支持您在设计流程中的关键节点保存(write_checkpoint 命令)和复原(read_checkpoint 命令)此物理数据库。检查点是处于流程中特定节点的设计快照。在“工程模式”下,Vivado 工具会自动生成设计检查点文件,并将其存储在实现运行目录中。这些文件可在 Vivado 工具的单独实例中打开。

此设计检查点文件包含以下内容:

  • 当前网表,包括实现期间执行的任何最优化
  • 设计约束
  • 实现结果

在设计流程的其余部分中,可使用 Tcl 命令来运行检查点设计。无法通过新设计源来对其进行修改。

以下是常见的检查点使用示例:

  • 保存结果,以便您稍后返回并对这部分流程进行进一步分析。
  • 尝试运行 place_design,使用多项指令并为每项指令保存检查点。这样即可支持您选择具有最佳时序结果的 place_design 检查点,以便用于执行后续实现步骤。

如需了解有关检查点的更多信息,请参阅 Vivado Design Suite 用户指南:实现(UG904)