在全局时钟缓冲器上使用 CLOCK_REGION 属性 - 2023.2 简体中文

Versal 自适应 SoC 硬件、IP 和平台开发方法指南 (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 简体中文

您可以使用 CLOCK_REGION 约束为时钟区域分配时钟缓冲器,而不指定站点 (site)。这样即可提升布局器的灵活性,从而对所有时钟树进行最优化,并判定相应的缓冲器站点以便成功完成所有时钟的布线。

您还可使用 CLOCK_REGION 约束来提供有关级联时钟缓冲器或由非时钟原语(例如,互连结构逻辑)所驱动的时钟缓冲器的布局指南。

在以下示例中,XDC 约束将 clkgen/clkout2_buf 时钟缓冲器分配至 XPIO bank CLOCK_REGION X3Y0。

set_property CLOCK_REGION X3Y0 [get_cells clkgen/clkout2_buf]
注释: 大多数情况下,时钟缓冲器由已约束到时钟区域的输入时钟端口、MMCM、XPLL、DPLL 或 GT*_QUAD 直接驱动。在此情况下,时钟缓冲器将自动布局在相同时钟区域内,您无需使用 CLOCK_REGION 约束。