在多个时钟信号线上使用 CLOCK_DELAY_GROUP 约束 - 2023.2 简体中文

Versal 自适应 SoC 硬件、IP 和平台开发方法指南 (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 简体中文

您可使用 CLOCK_DELAY_GROUP 约束来匹配由不同时钟缓冲器驱动的多个相关时钟网络的插入延迟。此约束常用于最大限度减少源自相同 MMCM、XPLL、DPLL 或 GT 来源的时钟之间的同步 CDC 时序路径的偏差。以下示例显示了由时钟缓冲器直接驱动的 clk1_netclk2_net 时钟信号线:

set_property CLOCK_DELAY_GROUP grp12 [get_nets {clk1_net clk2_net}]
重要: 您必须在直接连接到时钟缓冲器的信号线段上设置 CLOCK_DELAY_GROUP 约束。

如果可能,请使用 MBUFG* 单元来最大限度减小同步 CDC 时序路径上的偏差,或者在匹配多个相关时钟网络的插入延迟时,请使用此单元。使用单个 MBUFG* 单元时,请勿使用 CLOCK_DELAY_GROUP 约束。将 MBUFG* 单元所驱动的时钟网络的插入延迟与另一个全局时钟缓冲器的时钟网络相匹配时,可使用 CLOCK_DELAY_GROUP 约束,如以下示例所示:

set_property CLOCK_DELAY_GROUP mbufGrp [get_nets -of [get_pins MBUFGCE_inst/O*]]
set_property CLOCK_DELAY_GROUP mbufGrp [get_nets -of [get_pins BUFGCE_gated_inst/O]]
图 1. MBUFGCE 输出与门控 BUFGCE 的插入延迟匹配