时钟域交汇 - 2023.2 简体中文

Versal 自适应 SoC 硬件、IP 和平台开发方法指南 (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 简体中文

设计中存在的时钟域交汇 (CDC) 电路会直接影响设计可靠性。您可自行设计电路,但 Vivado Design Suite 必须能够识别该电路,并且您必须正确应用 ASYNC_REG 属性。AMD 提供了 XPM 以确保电路设计正确,包括:

  • place_design 中驱动特定功能,以便缩短同步电路上的平均故障间隔时间 (MTBF)。
  • 避免 report_cdc 错误和警告,通常如果迭代较长,那么在设计周期后期会出现此类错误和警告。
提示: 对于可安全忽略的 CDC 违例,您可以使用豁免机制来豁免此类违例。欲知详情,请访问此链接以参阅 Vivado Design Suite 用户指南:设计分析与收敛技巧(UG906) 中的相应内容。

跨 2 个异步时钟时或者尝试通过添加伪路径约束来放宽 2 个同步时钟之间的时序约束时,需要使用 CDC 电路。使用 XPM 时,可以选择单比特总线或多比特总线来跨 2 个域。