逻辑最优化 (opt_design) - 2023.2 简体中文

Versal 自适应 SoC 硬件、IP 和平台开发方法指南 (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 简体中文

Vivado Design Suite 逻辑最优化可以对当前存储器中的网表进行最优化。由于这是设计汇编的第 1 个视图(RTL 和 IP 块),通常可以进一步对设计进行最优化。默认情况下,opt_design 命令会执行逻辑裁剪 (logic trimming)、移除不含负载的单元、传输常量输入和执行块 RAM 功耗最优化。此外,还可选择执行其他最优化操作,例如,重新映射,即将 LUT 串联组合,减少 LUT 从而降低路径深度。