ML 策略 - 2023.2 简体中文

Versal 自适应 SoC 系统集成和确认方法指南 (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 简体中文

机器学习 (ML) 策略支持您快速获取最优化设计策略。如果您正在运行多项实现策略以生成实现结果,那么您可改为使用 ML 策略来帮助您预测哪些策略生成良好结果的可能性更高。

您可在已布线的设计上运行 report_qor_suggestions 命令以生成策略建议对象。在运行此命令之前,必须按如下方式运行实现流程:

  • 在“工程模式”下,使用“Default”或“PerformanceExplore”策略。
  • 在“非工程模式”下,使用以下 Tcl 命令:
    • opt_design:将 -directive 选项设置为 DefaultExplore
    • place_designphys_opt_designroute_design:将 -directive 选项设置为 DefaultExplore。该选项必须在运行全部 3 条 Tcl 命令的过程中都相匹配。

生成 ML 策略建议后,必须使用 write_qor_suggestions -strategy_dir <directory> 写入建议。每项策略都会写入 1 个 RQS 文件。要激活策略对象,必须先使用 read_qor_suggestions 读取含策略建议的 RQS 文件,然后再运行 opt_design,并且所有命令的指令 (directive) 都必须设置为 RQS(例如,opt_design -directive RQS)。

AMD 建议使用 ML 时留意以下几个要点:

  • 为了实现最佳结果,请解决所有方法论检查,确保设计的 QoR 评估得分不低于 3 分。请先运行 synth_designopt_design,然后再运行 report_qor_assessment 以进行验证。
  • 要进一步增强最高时钟频率,请将 ML 策略建议与相同 RQS 文件内的其他 QoR 建议相结合。
    注释: 写入 QoR 建议时,会自动组合 ML 策略建议。要禁用此功能,请使用 write_qor_suggestions -of_objects [get_qor_suggestions ...],并执行筛选,以仅显示所需的建议。

欲知详情,请访问此链接以参阅 Vivado Design Suite 用户指南:设计分析与收敛技巧(UG906) 中的相应内容。