为高复用模式选择增量实现指令 - 2023.2 简体中文

Versal 自适应 SoC 系统集成和确认方法指南 (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 简体中文

您可使用指令调整增量实现流程行为。工具遵循这些指令在运行实现时使用增量实现算法。当流程还原到默认算法时,工具遵循使用 place_designphys_opt_designroute_design 命令指定的指令进行操作。

以下是可用于增量实现流程的指令:
RuntimeOptimized
用于来自参考检查点的 WNS。这有助于保持与参考检查点的一致性,并且至少将布局器和布线器运行时间减半(效率倍增)。如果参考检查点不收敛时序,那么该指令不会尝试收敛时序。该指令为默认指令。
TimingClosure
用于 WNS = 0.000 ns。如果参考运行非常接近满足时序收敛,并且您愿意牺牲结果一致性和运行时间以换取尽力满足时序要求,则可使用此指令。该模式可在高难度设计上将 WNS 提升到多达 250 ps。将该指令与 QoR 建议配合使用即可最大限度提升收敛时序的可能性。该指令一般存在运行时间命中。
Quick
该选项用于复用率大于 99% 并可轻松满足时序约束要求的设计。通常情况下,该选项适用于仅有少量不影响时序的更改的 ASIC 仿真和原型设计。

以下是适用于工程模式的命令示例:

set_property -name INCREMENTAL_CHECKPOINT.MORE_OPTIONS -value {-directive TimingClosure} -object [get_runs <runName>]

以下是适用于非工程模式的命令示例:

read_checkpoint -incremental -directive TimingClosure <reference>.dcp
注释: RuntimeOptimized 指令可替代 Default 映射指令,而 TimingClosure 指令可替代先前版本的 Vivado Design Suite 中的 Explore 映射指令。