使用 SLR 交汇寄存器 - 2023.2 简体中文

Versal 自适应 SoC 系统集成和确认方法指南 (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 简体中文

处理 SSI 技术器件时,可将寄存器间 SLR 交汇映射到通过专用 SLL 布线直接驱动 CLB RX_REG 的特定 CLB TX_REG。将 TX_REG 到 RX_REG SLR 交汇拓扑结构用于流水线寄存器交汇可带来如下性能优势:

  • SLR 交汇的布局垂直分布,可减少 SLR 边界附近的布线拥塞。
  • 将寄存器布局在 SLR 交汇 slice 内可以提高延迟估算准确性,从而提高时序约束 QoR。
  • SLR 交汇性能会更快且一致性更高。

您可在预计将布局在 SLR 交汇边界处的寄存器上设置 USER_SLL_REG 属性。如果满足以下条件,place_design 会忽略 USER_SLL_REG 约束:

  • 寄存器 D 和 Q 管脚连接到不跨越 SLR 边界的信号线。
  • 寄存器 D 和 Q 管脚连接到扇出 > 1 的信号线。

以下提供了 USER_SLL_REG 约束示例:

# USER_SLL_REG
set_property USER_SLL_REG TRUE [get_cells src_slr_i/G1B.SLL_reg[227]]
set_property USER_SLL_REG TRUE [get_cells dest_slr_i/G1B.SLL_reg[227]]

下图显示了 USER_SLL_REG 约束以及生成的最优化布局布线的示例。

图 1. USER_SLL_REG 约束