使用增量实现 - 2023.2 简体中文

Versal 自适应 SoC 系统集成和确认方法指南 (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 简体中文

您可以使用增量实现来缩短实现编译时间,并提升结果的可预测性。AMD 建议将增量实现作为您的标准时序收敛策略的组成部分。欲知详情,请访问此链接以参阅 Vivado Design Suite 用户指南:实现(UG904) 中的相应内容。

本章节涵盖了有关自动增量实现的建议。