使用智能设计运行 - 2023.2 简体中文

Versal 自适应 SoC 系统集成和确认方法指南 (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 简体中文

要自动解决实现期间的大部分时序收敛难题,您可以使用智能设计运行 (Intelligent Design Run)。智能设计运行是一种特殊类型的实现运行,它可利用 report_qor_suggestions、基于 ML 的策略预测以及增量编译。智能设计运行最多能运行 6 次布局布线迭代,因此其典型编译时间达标准运行的 3.5 倍。但由于使用智能设计运行可以减少达成时序收敛所需的知识并节省大量用户分析时间,因此其利益不可小觑。

提示: 要加速迭代,您可从智能设计运行中提取 QoR 建议和 ML 策略,并在标准实现运行中使用这些策略。如果执行了重大设计更改,请重新运行智能设计运行以更新关联文件。

智能设计运行由下列几个阶段组成:

  1. 使用 report_qor_suggestions 按预定义顺序对设计中的元素应用最优化属性。
  2. 使用机器学习 (ML) 策略为 opt_designplace_designphys_opt_designroute_design 生成专为设计最优化的工具选项。
  3. 使用“Last Mile Timing Closure”(最后一步时序收敛)功能来对难以解决的路径进行广泛尝试,以获得最终结果。

要确保成功使用智能设计运行,请遵循下列要求进行操作:

  • 实现必须基于工程来执行。对于非工程用户,最简单的方法是使用 opt_design 前的检查点创建基于综合后网表的工程。
  • 设计必须具有基线,且基线必须具有准确且可实现的约束。
  • 所有设计都必须符合建议的方法论,report_methodology Tcl 命令可提供相关报告。
  • 对于基于 SSI 技术的器件,可能需要基于 SLR 的布局规划。
  • 仅限应用自动实现建议。必须应用基于文本的建议或 APPLICABLE_FOR = synth_design 建议,然后再启动智能设计运行。

欲知详情,请访问此链接以参阅 Vivado Design Suite 用户指南:设计分析与收敛技巧(UG906) 中的相应内容。