基线设定与时序约束确认流程 - 2023.2 简体中文

Versal 自适应 SoC 系统集成和确认方法指南 (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 简体中文

以下流程有助于跟踪您的时序收敛进展情况并识别潜在瓶颈:

  1. 打开已综合的设计。
  2. 运行 report_timing_summary -delay_type min_max,并记录下表中所示信息。
    表 1. 已综合的设计的时序汇总报告
      WNS TNS 故障端点数 WHS THS 故障端点数
    综合 (Synth)            
  3. 打开综合后 report_timing_summary 文本报告,并记录 check_timingno_clock 部分。

    设计中未满足时钟要求的时钟数量:___________

  4. 运行 report_clock_networks 以识别设计中的基准时钟源管脚/端口。

    设计中未约束的时钟数量:___________

  5. 运行 report_clock_interaction -delay_type min_max 并按 WNS 路径要求对结果进行排序。

    设计中最小 WNS 路径要求:___________

  6. 按 WHS 对 report_clock_interaction 结果进行排序,以查看综合后是否存在严重保持时间违例 (>500 ps)。

    设计中最大负 WHS:___________

  7. 按时钟间约束对 report_clock_interaction 结果进行排序,列出显示为不安全的所有时钟对。
  8. 打开综合设计时,存在多少严重警告 (Critical Warning)?

    综合设计中的严重警告数:___________

  9. 存在哪些类型的严重警告?

    记录每种类型的示例。

  10. 运行 report_high_fanout_nets -timing -load_types -max_nets 25

    不受 FF 驱动的高扇出信号线数量:___________

    不受 FF 驱动的最高扇出信号线上的负载数量:___________

    是否有任何高扇出信号线存在负时序裕量?如果有,那么 WNS = ___________

  11. 实现设计。执行每个步骤时,请运行 report_timing_summary 并记录信息,如下表所示。
    表 2. 时序汇总报告
      WNS TNS 故障端点数 WHS THS 故障端点数
    最优化 (Opt)            
    布局 (Place)            
    物理最优化 (Physopt)            
    布线 (Route)            
  12. 运行 report_exceptions -ignored 以识别设计中是否存在重叠的约束。记录结果。