定制策略 - 2023.2 简体中文

Versal 自适应 SoC 系统集成和确认方法指南 (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 简体中文

如果预定义策略无法满足时序要求,那么您可手动浏览定制指令组合。由于布局通常对设计可实现的时钟频率影响巨大,因此最好在仅含 I/O 位置约束而不含任何其他布局约束的情况下尝试各项布局器指令。通过复查每次布局器运行时的 WNS 和 TNS(这些值可在布局器 log 日志中找到),可选择 2 项或 3 项可提供最佳时序结果的指令作为下游实现流程的基础。

提示: 要获取指令列表及其功能简介,请输入实现命令,后接 -help 选项(例如,place_design -help)。如需了解有关策略的信息,请访问此链接以参阅 Vivado Design Suite 用户指南:实现(UG904) 中的相应内容。

对于其中每个检查点,可尝试运行多项 phys_opt_designroute_design 指令,并且同样仅保留含有最佳估算或最终 WNS/TNS 的运行结果。在“非工程模式”下,必须以 Tcl 脚本显式描述流程,并保存最佳检查点。在“工程模式”下,您可为每项布局器指令创建单独的实现运行,并启动运行直至布局步骤为止。在运行布局器步骤(由 Tcl-post 脚本判定)后,可针对具有最佳结果的运行轮次继续进行实现。

物理约束(Pblock 约束以及 DSP 和 RAM 宏约束)会妨碍布局器找出最佳解决方案。因此,AMD 建议您在不含任何 Pblock 约束的情况下运行布局器指令。开始使用指令进行布局前,可使用以下 Tcl 命令删除任意 Pblock:

delete_pblock [get_pblocks *]

运行 place_design -directive <directive> 并分析最佳结果的布局还可提供模板用于对设计进行布局规划或者复用块 RAM 宏或 DSP 宏的布局,这样可以稳定各轮次运行之间的流程。