config_export - 2023.2 简体中文

Vitis 高层次综合用户指南 (UG1399)

Document ID
UG1399
Release Date
2023-12-18
Version
2023.2 简体中文

描述

export_design 配置选项,用于运行下游工具,或者用于封装 Vivado IP 或 Vitis 编译的内核对象 (.xo)。

语法

config_export [OPTIONS]

选项

-cosim_trace_generation=<true | false>
硬件仿真期间,当内核作为 Vitis 内核进行综合时,在 Vitis 工具流成中生成测试矢量,以供后续迭代中的 C/RTL 协同仿真期间使用。
-description <string>
提供生成的 IP 的目录条目描述,在封装 IP 时使用。
-display_name <string>
提供生成的 IP 的目录条目的显示名称,在封装 IP 时使用。
-flow (none | syn | impl)
支持您使用 Vivado 综合与实现为生成的 RTL 获取更准确的时序和资源利用率数据。syn 选项用于执行 RTL 综合。impl 选项用于执行 RTL 综合与实现,包括 RTL 网表的详细布局布线。默认选项是 none,表示不运行综合或实现。
提示:Vitis HLS IDE 中,这些选项显示为带有Vivado Synthesis(Vivado 综合)和Vivado Synthesis, place and route stage(Vivado 综合与布局布线阶段)标记的复选框。
-format (ip_catalog | xo | syn_dcp | sysgen)
指定 IP 封装格式。受支持的格式为:
ip_catalog
适合添加到 AMD IP 目录的格式。
xo
v++ 编译器接受的格式,用于在 Vitis 应用加速流程中进行链接。
syn_dcp
Vivado Design Suite 的已综合的检查点。如果使用该选项,则将自动执行 RTL 综合。无法以可选方式添加 Vivado 实现。
sysgen
生成 Vivado IP 和 .zip 存档,以供在 System Generator 内使用。
-ip_xdc_file <arg>
指定 XDC 文件,其中内容将包含在已封装的 IP 内,以供在 Vivado 工具实现期间使用。
-ip_xdc_ooc_file <arg>
指定非关联 (OOC) XDC 文件,其中内容将包含在已封装的 IP 内,以供在针对导出的 IP 执行非关联 Vivado 综合期间使用。
-ipname <string>
为生成的 IP 的 <Vendor>:<Library>:<Name>:<Version> (VLNV) 标识符提供名称作为该标识符的组成部分。
-library <string>
为生成的 IP 的 <Vendor>:<Library>:<Name>:<Version> (VLNV) 标识符提供库作为该标识符的组成部分。
-output <string>
指定生成的 IP、.xo 文件或 DCP 文件的输出位置。如果不指定输出路径,那么此文件将写入当前工程的 solution/impl 文件夹。
-rtl (verilog | VHDL)
指定在执行 -flow 选项时使用的 HDL。如果不指定此项,那么对于 Vivado 已综合的网表,默认语言为 Verilog。
-taxonomy <string>
指定生成的 IP 的目录条目的分类法,在封装 IP 时使用。
-vendor <string>
为生成的 IP 的 <Vendor>:<Library>:<Name>:<Version> (VLNV) 标识符提供供应商作为该标识符的组成部分。
-version <string>
为生成的 IP 的 <Vendor>:<Library>:<Name>:<Version> (VLNV) 标识符提供版本作为该标识符的组成部分。
-vivado_clock <arg>
覆盖 Vivado OOC 运行期间使用的指定 HLS 时钟约束。此选项仅用于报告,将不适用于导出的 IP。
-vivado_impl_strategy <string>
指定 Vivado 实现策略名称。默认名称为“default”。
-vivado_max_timing_paths <uint:10>
指定在 Vivado 综合或实现中不满足时序的情况下,要报告的时序路径的最大数量。
-vivado_optimization_level (0 | 1 | 2 | 3)
Vivado 最优化级别。该选项用于设置其他 vivado_* 选项。它仅用于报告生成,将不适用于导出的 IP。默认设置为 0。
-vivado_pblock <arg>
指定要在实现期间用于报告目的的 Pblock 范围。这将不适用于导出的 IP。
-vivado_phys_opt (none | place | route | all)
指定在 Vivado 实现期间是否应运行 Vivado 物理最优化。有效值包括:
none
不运行(默认选项)。
place
布局后运行。
route
布线后运行。
all
布局后和布线后运行。
-vivado_report_level (0 | 1 | 2)
指定生成的 Vivado 报告的数量,不适用于导出的 IP。有效值和关联的报告包括:
0
综合后利用率。实现后利用率和时序。
1
综合后利用率、时序和分析。实现后利用率、时序和分析。
2
综合后利用率、时序、分析和快速失败 (failfast)。实现后利用率、时序和快速失败。这是默认设置。
-vivado_synth_design_args <string>
指定要传递给 Vivado synth_design 命令的其他实参。默认值为 -directive sdx_optimization_effort_high
-vivado_synth_strategy <string>
指定 Vivado 综合策略名称。默认策略为“default”。

示例

以下示例用于将 Vitis .xo 导出至指定文件:

export_design -description "Kernel Export" -display_name kernel_export \
-flow impl -format xo -output "tmp/hls_tests/kernel.xo"