MDD 设计规则检查 (DRC) 部分 - 2023.2 简体中文

Vitis 统一软件平台文档 嵌入式软件开发 (UG1400)

Document ID
UG1400
Release Date
2023-12-13
Version
2023.2 简体中文
proc mydrc { handle }

DRC 函数可以采用任意 Tcl 代码,用于检查参数是否正确。DRC 过程可以访问(只读)平台规范格式 (Platform Specification Format) 数据库,以读取您设置的参数值,此数据库是由该工具使用硬件 (XSA) 和软件 (MSS) 数据库文件构建的。“handle”表示到数据库中的当前驱动程序的句柄。DRC 过程可通过此句柄来获取驱动程序参数。它还可以通过首先请求句柄,然后使用句柄来获取参数的方式,从该数据库中获取任何其他参数。

  • 如果出现错误,DRC 过程会调用 Tcl 错误命令“error msg”错误,并显示在错误页面中。
  • 如果出现警告,DRC 过程会返回字符串值并打印在控制台上。
  • 如果操作成功,那么 DRC 过程返回内容不含任何值。