hsi::get_pins - 2023.2 简体中文

Vitis 统一软件平台文档 嵌入式软件开发 (UG1400)

Document ID
UG1400
Release Date
2023-12-13
Version
2023.2 简体中文

描述

获取管脚列表。

语法

get_pins [-regexp] [-filter <arg>] [-hierarchical] [-of_objects <args>] [-quiet] [-verbose] [<patterns>...] 

返回

管脚对象。如果该命令失败,则不返回任何结果。

用法

名称 描述
[-regexp] 模式为正则表达式
[-filter] 使用表达式筛选列表
[-hierarchical] 从分层单元的所有层级中获取管脚
[-of_objects] 获取下列类型的“port”对象:“hw_design cell bus_intf net”。
[-quiet] 忽略命令错误
[-verbose] 命令执行期间暂挂消息限制
[<patterns>] 根据模式匹配单元名称;默认设置:*

类别

硬件

描述

获取当前硬件设计中与指定搜索模式相匹配的管脚对象列表。默认命令会获取子系统设计中的所有管脚的列表。

实参

-regexp -(可选)指定以正则表达式方式编写搜索 <patterns>。使用该实参时,搜索 <patterns> 和 -filter 表达式都必须以正则表达式方式来编写。AMD 正则表达式 Tcl 命令始终锚定到搜索字符串开始位置。您可在搜索字符串开始或结束处添加 .* 来拓宽搜索范围,以包含子字符串。如需获取正则表达式语法相关帮助信息,请参阅该网页
注释: Tcl 内置命令 regexp 不予锚定,充当标准 Tcl 命令来使用。如需了解更多信息,请参阅该网页

-filter <args> -(可选)使用指定表达式来筛选结果列表。-filter 实参会根据对象的属性值来筛选返回的对象列表。您可使用 report_propertylist_property 命令来查找对象上的属性。

使用引号将筛选搜索模式括起,可以避免对信号线、管脚或单元名称中可能出现的特殊字符或者其他属性进行转义。字符串匹配区分大小写,并且始终锚定到搜索字符串的开始和结束位置。在搜索字符串的开始或结束位置,可以使用通配符字符 * 来拓宽搜索范围,以包含属性值的子字符串。
注释: 如果在对象上存在指定的属性,并且指定的模式与该对象上的属性值相匹配,那么筛选会返回该对象。对于 * 通配符字符,它与含已定义的值 "" 的属性相匹配。
对于管脚,您可使用“DIR”和“TYPE”等属性来筛选结果。以下示例用于获取名称中不含“RESET”子字符串的输入管脚:
get_pins * -filter {DIRECTION == IN && NAME !~ "*RESET*"}

-hierarchical:(可选)从分层单元的所有层级中获取管脚。

-of_objects <arg>:(可选)获取已连接到指定 IP 子系统单元或信号线的管脚。

注释: -of_objects 选项要求使用 get_* 命令(如 get_cellsget_pins)来指定对象,而不是按名称来指定对象。此外,-of_objects 不得搭配搜索 <pattern> 一起使用。
-quiet -(可选)以静默方式执行命令,不返回来自该命令的任何消息。此命令还会返回 TCL_OK,忽略执行期间遇到的所有错误。
注释: 启动该命令时,会返回命令行上遇到的任何错误。仅捕获该命令内部发生的错误。
-verbose -(可选)暂时改写任何消息限制,并返回来自该命令的所有消息。
注释: 可使用 set_msg_config 命令定义消息限制。

patterns:(可选)将硬件设计管脚与指定模式相匹配。

注释: 您可指定多个模式,根据不同搜索条件来查找多个管脚。您必须使用括号 {} 将多个搜索模式括起,表示此列表是单个元素。

示例

以下示例可获取连接到指定单元的管脚列表:

hsi::get_pins -of [get_cells axi_gpio_0]
注释: 如果不存在与该模式相匹配的管脚,则该工具将返回一条警告。

以下示例可获取连接到指定子系统信号线的管脚列表:

hsi::get_pins -of [get_nets ps7_axi_interconnect_0_M_AXI_BRESP]