平台管理控制器管脚 - 2023.2 简体中文

Versal 自适应 SoC 开发板系统设计方法指南 (UG1506)

Document ID
UG1506
Release Date
2023-11-15
Version
2023.2 简体中文

要想设计一套高效的系统,必须选择充分满足系统要求的器件启动模式。每种启动模式都使用一组不同的平台管理控制器 (PMC) 管脚。需要考虑的因素包括:

  • 请复查 PMC 专用管脚、PMC 多路复用 I/O (MIO) 管脚和 PL 扩展 MIO (EMIO) 管脚的要求。
    注释: 每种启动模式都使用一组专用 I/O 管脚或多路复用 I/O 管脚。启动完成后,这些多路复用 I/O 管脚将被释放以便用作通用管脚。
  • 请确保所选启动模式不会给共享 MIO bank 的外设施加不必要的电压限制。
  • 为不同的 PMC 管脚选择合适的终端。
  • 对 PMC 管脚,使用建议的上拉或者下拉电阻值。

有多种启动模式选项可供使用。虽然选项灵活多样,但是每个系统一般都有 1 个最佳的解决方案。在选择最佳启动选项时,请考虑以下方面:

  • 设置(包括管脚计数和管脚位置)
  • 速度
  • 成本
  • 复杂性

如需了解有关器件启动模式选项的更多信息,请参阅:

  • 请访问此链接以参阅 Versal 自适应 SoC 技术参考手册(AM011) 中的相应内容
  • 请访问此链接以参阅 Versal 自适应 SoC PCB 设计用户指南(UG863) 中的相应内容
  • Vivado Design Suite 用户指南:编程和调试(UG908)