UltraRAM - 2023.2 简体中文

电源设计管理器 用户指南 (UG1556)

Document ID
UG1556
Release Date
2023-10-18
Version
2023.2 简体中文

“UltraRAM”页面涵盖了专用 288 Kb UltraRAM 块的功耗估算信息。UltraRAM 资源必须手动估算或计算。用于定义 UltraRAM 结构的设置包括:

Cascade Group Size(级联分组大小)
UltraRAM 块支持通过级联来创建更大的存储器阵列,同时通过针对每个级联每次仅启用 1 个 UltraRAM 来降低总体功耗。示例:20 个级联分组大小为 4 的 UltraRAM 块表示 20/4 = 5 组级联 UltraRAM(每一组含 4 个块)。如果没有级联,那么请使用 1 作为级联分组大小的值。级联分组大小适用于垂直级联,可增加阵列深度。
Latency(时延)
可选 UltraRAM 流水线寄存器为 IREG_PRE(输入)或 REG_CAS(级联)。默认值为级联分组大小除以 3。如无 UltraRAM 级联,那么只能使用 IREG_PRE,它表示 Latency 为 1。
Mode(模式)
可选模式包括 URAM288(无 ECC)和 URAM288_with_ECC。

以下设置用于定义 UltraRAM 活动:

Sleep Rate(休眠率)
UltraRAM SLEEP 输入管脚断言有效的时间占比。针对Automatic Sleep Mode(自动休眠模式),还支持使用Auto值。
Average Inactive Cycles(平均不活动周期数)
处于休眠模式时,连续不活动周期数的平均值。最小值为 > 10 或者级联分组大小减 2。
Input Toggle Rate(输入翻转率)
端口 A 和 B 的数据输入 (DIN) 的平均翻转率。
Output Toggle Rate(输出翻转率)
端口 A 和 B 的数据输出 (DOUT) 的平均翻转率。
Clock (MHz)(时钟)
UltraRAM 或 UltraRAM 模块的时钟频率。

以下是针对 UltraRAM 端口 A 和 B 指定的值:

Data Width(数据宽度)
用于指定精确的数据宽度(如果宽度小于最大值 72 位)。
Enable Rate(使能率)
启用 UltraRAM 的时间占比。
Write Enable(写入使能)
写入使能输入断言有效的百分比,与使能率无关。写入使能管脚为 UltraRAM RDB_WR_A 和 RDB_WR_B 管脚。
注释: 指定 Enable Rate 和 Sleep Rate 时,针对每个端口 A 和 B,(Enable Rate / Cascade Group Size) 与 Sleep Rate 的总和不得超过 100%。