VHDL 语言支持例外 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

某些语言构造不受 Vivado 仿真器支持。下表列出了 VHDL 语言支持例外。

表 1. VHDL 语言支持例外
受支持的 VHDL 构造 例外
abstract_literal 不支持将浮点值表达为基数字面值 (based literal)。
alias_declaration

总体上不支持非对象别名;以下情况下尤其如此:

  • 别名的别名
  • 不含 subtype_indication 的别名声明
  • 别名声明的签名
  • 运算符符号表达为 alias_designator
  • 运算符符号的别名
  • 字符字面值表达为别名指示符
alias_designator

Operator_symbol 表达为 alias_designator

Character_literal 表达为 alias_designator

association_element 在关联元素内对实际值进行分片时可接受全局和局部静态范围。
attribute_name 不支持前缀后接符号。
binding_indication 不支持不使用 entity_aspect 的 Binding_indication。
bit_string_literal 不支持空的 bit_string_literal (" ")。
block_statement 不支持 Guard_expression。例如,保护块、保护信号、保护目标和保护赋值均不受支持。
choice 不支持在 case 语句中使用聚合 (aggregate) 作为选项。
concurrent_assertion_statement 不支持推迟 (postponed)。
concurrent_signal_assignment_statement 不支持推迟 (postponed)。
concurrent_statement 不支持包含 wait 语句的并发过程调用。
conditional_signal_assignment 不支持选项中受保护的关键字,因为不支持受保护的信号赋值。
configuration_declaration 不支持在配置中针对生成索引使用非局部静态。
entity_class 不支持使用字面值、单位、文件和分组作为实体类。
entity_class_entry 不支持用于分组模板的可选 <>。
file_logical_name 虽然允许 file_logical_name 采用求值结果为字符串值的任意非规范表达式,但仅接受字符串字面值和标识符作为文件名。
function_call function_call 中的指定参数关联内不支持不同形式的分片、索引和选择。
instantiated_unit 不支持直接配置例化。
mode 不完全支持链接和缓冲器端口。
options 不支持保护对象。
primary 如果使用 primary,则在其中扩展分配器。
procedure_call procedure_call 中的指定参数关联内不支持不同形式的分片、索引和选择。
process_statement 不支持推迟进程。
selected_signal_assignment 不支持选项中包含 guarded 关键字,因为不支持受保护的信号赋值。
signal_declaration 不支持 signal_kindsignal_kind 用于声明保护信号,此类信号不受支持。
subtype_indication 不支持复合体(阵列和记录)的已解析子类型。
waveform 不支持不受影响的对象。
waveform_element 不支持空波形元素,因为仅在保护信号范围内,此类元素才有关联。