Vivado Design Suite 随附的 DPI 示例 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

Vivado Design Suite 随附了 2 个示例,可帮助您了解如何在 Vivado 仿真器内使用 DPI。您可在安装目录中找到这些示例:<vivado installation dir>/examples/xsim/systemverilog/dpi。每个示例都包含可帮助您快速入门的 README 文件。这些示例包括:

  • simple_import:简单导入纯函数
  • simple_export:简单导出纯函数
    提示: 当仅根据函数的输入值来计算其返回值时,该函数称为“pure function”(纯函数)。