XSI 函数参考 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

本节以明文(直接 C 语言调用)形式和 Xsi::Loader 成员函数形式展示了每个 XSI API 函数。明文形式的函数会取用 xsiHandle 实参,而成员函数则不会取用该实参。xsiHandle 包含有关已打开的 HDL 设计的状态信息。明文形式的 xsi_open 会生成 xsiHandleXsi::Loader 内部包含 xsiHandle