使用 Verilog UNIFAST 库 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

为了缩短仿真运行时间,快速 GTXE2 仿真模型与完整模型相比存在如下功能特性差异:

  • GTX 链路必须保持同步,近端和远端链路伙伴之间不存在百万分率(Parts Per Million,PPM)差异。
  • 对于硬件操作,通过 GTX 的时延并非周期精确。

方法 1:使用完整的 Verilog UNIFAST 库(建议)

方法 1 是建议方法,可供您用于对所有 UNIFAST 模型进行仿真。

在 Tcl 控制台中,以下 Tcl 命令可用于在 Vivado 工程环境内为 Vivado 仿真器、ModelSim 或 VCS 启用 UNIFAST 支持(快速仿真模型):

set_property unifast true [current_fileset –simset]

如需了解有关组件文件的更多信息,请参阅 UNISIM 库

如需了解更多信息,请参阅相应的第三方仿真用户指南。

方法 2:使用专用 UNIFAST 模块

建议高级用户使用,这类用户可使用此方法指定搭配 UNIFAST 模型执行仿真的模块。

要指定个别库组件,请改用 Verilog 配置语句。在 config.v 文件中指定:

  • 顶层模块或配置的名称(例如:config cfg_xilinx;
  • 设计配置适用于的名称(例如:design test bench;
  • 未显式调出的单元或实例的库搜索顺序(例如:default liblist unisims_ver unifast_ver;
  • 将特定 CELL(单元)或 INSTANCE(实例)映射到特定库(例如,instance testbench.inst.O1 use unifast_ver.MMCME2;
    注释: 对于 ModelSim (vsim),仅将 -genblk 添加到层级名称(例如:instance testbench.genblk1.inst.genblk1.O1 use unifast_ver.MMCME2; - VSIM)。

config.v 示例

config cfg_xilinx;
design testbench;
default liblist unisims_ver unifast_ver;
//Use fast MMCM for all MMCM blocks in design
cell MMCME2 use unifast_ver.MMCME2;
//use fast dSO48E1for only this specific instance in the design
instance testbench.inst.O1 use unifast_ver.DSP48E1;
//If using ModelSim or Questa, add in the genblk to the name
(instance testbench.genblk1.inst.genblk1.O1 use unifast_ver.DSP48E1)
endconfig