使用 log_wave Tcl 命令 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

log_wave 命令会记录仿真输出以便通过 Vivado 仿真器的波形查看器来查看指定 HDL 对象。不同于 add_wavelog_wave 命令不会将 HDL 对象添加到波形查看器(即波形配置)中。它仅启用将输出记录到 Vivado 仿真器波形数据库 (WDB) 的功能。

提示: 要显示插入时间之前的对象值,必须重新启动仿真。为避免因未能捕获值的变化而不得不重新启动仿真,请在仿真运行开始时发出 log_wave -r / Tcl 命令,这样即可捕获设计中可显示的所有 HDL 对象的值变化。

语法:

log_wave [-recursive] [-r] [-quiet] [-verbose] <hdl_objects>...

log_wave Tcl 命令用法示例

记录波形输出的适用范围和相应的命令如下所述:

  • 用于设计内的所有信号(不包括备选顶层模块的信号):
    log_wave -r /
  • 用于作用域 /tb 内的所有信号:
    log_wave /tb/*
  • 用于名称以 a 开头并以 b 结尾且中间包含数字的对象:
    log_wave [get_objects -regexp {^a[0-9]+b$}]
  • 用于当前作用域和所有子作用域内的所有对象(递归方式):
    log_wave -r *
  • 用于暂时覆盖所有消息限制,并返回来自以下命令的所有消息:
    log_wave -v 
  • 用于当前作用域的对象:
    log_wave * 
  • 如果仅用于作用域 /tb/UUT 的端口,请使用以下命令:
    log_wave [get_objects -filter {type == in_port || type == out_port || type == 
    inout_port || type == port} /tb/UUT/*]
  • 如果仅用于作用域 /tb/UUT 的内部信号,请使用以下命令:
    log_wave [get_objects -filter {type == signal} /tb/UUT/*]

波形配置设置(包括信号顺序、名称样式、基数和颜色)按需保存到波形配置 (WCFG) 文件中。请参阅 使用 Vivado 仿真器对仿真波形进行分析