使用仿真设置 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

您可以使用仿真设置来指定目标仿真器、显示仿真集、仿真顶层模块名称、顶层模块(受测设计)、编译、细化、仿真和网表的选项卡式列表以及各项高级选项。在 Vivado IDE Flow Navigator 中,右键单击Simulation(仿真),然后选择Simulation Settings(仿真测试)以打开Settings(设置)对话框中的“Simulation Settings”,如下图所示。

图 1. Settings对话框

Settings对话框包含以下仿真设置:

Target simulator(目标仿真器)
从仿真器下拉菜单中选择仿真器。默认仿真器是 AMD Vivado™ 仿真器。但也支持许多第三方仿真器。
Simulator language(仿真器语言)
选择仿真器语言模式。设计中各种 IP 使用的仿真模型因 IP 支持的语言而异。
Simulation set(仿真集)
选择仿真命令默认使用的仿真集。
重要: 先前定义的仿真集的编译和仿真设置并不会应用于新定义的仿真集。
Simulation top module name(仿真顶层模块名称)
输入仿真期间要使用的备用顶层模块。
Generate simulation scripts only(仅生成仿真脚本)
如果选中此项,则生成脚本。不调用仿真。
“Configure script to run simulator in GUI mode”(将脚本配置为以 GUI 模式运行仿真器)
如果选中此项,则以仅限脚本模式启动仿真器 GUI。
Compiled library location(已编译的库位置)
选择第三方仿真器时会显示该选项。仿真会从该目录位置访问已编译的库。为便于执行此操作,您必须使用 comb_simlib 命令来显式定义库的编译路径。
Compilation(编译)选项卡
该选项卡用于定义和管理编译器指令,这些指令作为属性存储在仿真文件集上,供 xvlog 和 xvhdl 实用工具用于编译 Verilog 和 VHDL 源文件以便进行仿真。
注释: xvlog 和 xvhdl 均为 Vivado 仿真器专用命令。适用的实用工具会根据目标仿真器而变。
Elaboration(细化)选项卡
该选项卡用于定义和管理细化指令,这些指令作为属性存储在仿真文件集上,并供 xelab 实用工具用于细化和生成仿真快照。选择该表中的属性即可显示其描述并编辑其值。
注释: xelab 是 Vivado 仿真器专用命令。适用的实用工具会根据目标仿真器而变。
Simulation(仿真)选项卡
该选项卡用于定义和管理仿真指令,这些指令作为属性存储在仿真文件集上,供 xsim 应用用于对当前工程进行仿真。选择该表中的属性即可显示其描述并编辑其值。
Netlist(网表)选项卡
该选项卡允许访问与 Verilog 网表的 SDF 注解以及 SDF 延迟所捕获的工艺角相关的网表配置选项。这些选项作为属性存储在仿真文件集上,在为仿真编写网表时使用。
Advanced(高级)选项卡
该选项卡包含两个选项:
Enable incremental compilation(启用增量编译)
该选项用于在连续运行仿真期间启用增量编译并保留仿真文件。默认启用该选项。
Include all design sources for simulation(包含所有设计源文件用于仿真)
默认启用该选项。选中该选项可确保来自设计源文件的所有文件以及来自当前仿真集的文件都用于仿真。即使您更改设计源文件,启动行为仿真时所更改的内容也会一并更新。
警告:
仅限必要时才应更改Advanced选项卡中的设置。默认情况下选中Include all design sources for simulation复选框。取消选中此框可能产生意外结果。只要选中此复选框,仿真集就会包含非关联 (OOC) IP、IP integrator 文件和 DCP。
注释: 如需了解有关CompilationElaborationSimulationNetlistAdvanced选项卡中的属性的详细信息,请参阅 编译、细化、仿真、网表和高级选项