使用值更改转储功能 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

您可使用“Value Change Dump (VCD)”(值更改转储)文件来捕获仿真输出。Tcl 命令基于与转储值相关的 Verilog 系统任务。

对于 VCD 功能特性,下表中列出的 Tcl 命令会对这些 Verilog 系统任务进行建模。

表 1. 适用于 VCD 的 Tcl 命令
Tcl 命令 描述
open_vcd 打开 VCD 文件,用于捕获仿真输出。此 Tcl 命令会对 $dumpfile Verilog 系统任务的行为进行建模。
checkpoint_vcd $dumpall Verilog 系统任务的行为进行建模。
start_vcd $dumpon Verilog 系统任务的行为进行建模。
log_vcd 为指定 HDL 对象记录 VCD。此命令会对 $dumpvars Verilog 系统任务的行为进行建模。
flush_vcd $dumpflush Verilog 系统任务的行为进行建模。
limit_vcd $dumplimit Verilog 系统任务的行为进行建模。
stop_vcd $dumpoff Verilog 系统任务的行为进行建模。
close_vcd 关闭 VCD 生成。

请参阅 Vivado Design Suite Tcl 命令参考指南(UG835),或者在 Tcl 控制台中输入:

注释: 这些命令对 RTL 设计和 SystemC 设计都适用。
<command> -help 

示例:

open_vcd xsim_dump.vcd
log_vcd /tb/dut/*
log_vcd -include_systemc * /tb/dut/hier1/sc_object
log_vcd -ports * /tb/dut/HDL_signal
run all
close_vcd
quit

如需了解更多信息,请参阅 Verilog 语言支持例外

您可使用 VCD 数据来确认仿真器输出,以调试仿真失败问题。