全局置位和复位信号线 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

配置期间,会将专用全局置位/复位 (GSR) 信号断言有效。完成器件配置时,此 GSR 信号会断言无效。所有触发器和锁存器都会接收到此复位,并根据寄存器的定义方式进行置位或复位。

虽然您可在配置后访问 GSR 信号线,但请避免使用 GSR 电路代替手动复位。这是因为,FPGA 器件为高扇出信号(例如,系统复位)提供高速主干布线。此主干布线比专用 GSR 电路更快,并且相较于负责传输 GSR 信号的专用全局布线更易于分析。

在综合后和实现后仿真中,前 100 ns 内 GSR 信号会自动断言有效,以对配置后发生的复位进行仿真。

在综合前功能仿真中可以选择提供 GSR 脉冲,但如果设计具有局部复位用于复位所有寄存器,那么此脉冲并非必需。

提示: 创建测试激励文件时,请谨记,在综合后和实现后仿真中会自动发生 GSR 脉冲。这样即可在仿真的前 100 ns 内使所有寄存器保持处于复位状态。
注释: 如果设计使用 ICAP 原语,届时 GSR 会持续 1.281 us。