准备设计共享库 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

生成基于 XSI 的有效 C/C++ 程序的最后一步需编译 HDL 设计并将其与 Vivado 仿真器封装在一起以成为您的设计共享库。只要 HDL 设计源代码存在更改,即可重复此步骤。

警告:
如果您要在程序持续运行的同时为自己的 C/C++ 程序重新构建设计共享库,请务必先在程序中关闭此设计,然后再执行此步骤。

创建设计共享库,具体方法是在 HDL 设计上调用 xelab,并包含 -dll 开关以指令 xelab 生成共享库代替常用快照,搭配 Vivado 仿真器的用户界面一起使用。

例如:

在 Linux 命令行中输入以下命令以创建位于 ./xsim.dir/design/xsimk.so 的设计共享库:

xelab work.top1 work.top2 -dll -s design

其中,work.top1work.top2 均为顶层模块名称,design 则是快照名称。

如需了解有关编译 HDL 设计的更多详细信息,请参阅 xelab、xvhdl 和 xvlog xsim 命令选项