受支持的仿真器 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

Vivado Design Suite 中的支持的仿真器如下所示:

表 1. 受支持的仿真器
仿真器 版本 Vivado 集成设计环境集成
AMD Vivado™ 仿真器 2023.2 Vivado 集成设计环境集成,其中每次仿真启动都会显示为 Vivado IDE 中的一个窗口框架。
Siemens EDA Questa Advanced Simulator 2023.2 支持
Siemens EDA ModelSim 仿真器 2023.2(DE) 支持
Synopsys Verilog Compiler Simulator (VCS) U-2023.03-1 支持
Aldec Rivera-PRO 仿真器 2022.10 支持
Aldec Active-HDL 14.0 支持
Cadence Xcelium Parallel Simulator 23.03.002 支持

如需了解有关受支持的第三方仿真器版本的信息,请参阅 Vivado Design Suite 用户指南:版本说明、安装和许可(UG973)

如需了解有关 Vivado IDE 和 Vivado Design Suite 流程的更多信息,请参阅:

  • Vivado Design Suite 用户指南:使用 Vivado IDE(UG893)
  • Vivado Design Suite 用户指南:设计流程概述(UG892)