定义虚拟总线 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

您可将逻辑标量和矢量组合在一起添加到波形配置中,并可为该波形配置定义虚拟总线。

虚拟总线会显示总线波形,该波形值的构成方式为:将源自所添加的标量和阵列的对应值按虚拟总线下所示垂直顺序平铺成一维矢量来构成。

要添加虚拟总线,请执行以下操作:

  1. 在波形配置中,选中 1 个或多个波形对象以添加到虚拟总线。
  2. 右键单击并从弹出菜单中选择New Virtual Bus(新建虚拟总线)。

    虚拟总线会随Virtual Bus(虚拟总线)按钮 一起呈现。

    Tcl 命令:add_wave_virtual_bus

您可通过拖放信号或总线名称来将其他逻辑标量和阵列移至该虚拟总线中。

保存波形配置文件时,就会保存新的虚拟总线及其嵌套的项。您也可以通过拖放虚拟总线名称来将其移至波形中的其他位置。

您可重命名虚拟总线;欲知详情,请参阅 更改对象显示名称

要移除虚拟总线并取消其内容分组,请高亮该虚拟总线,然后右键单击并从弹出菜单中选择Ungroup(取消组合)。

警告:
Delete 键可用于从波形配置中移除虚拟总线及总线内嵌套的 HDL 对象。