定点包和浮点包 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

Vivado 仿真器使用的定点包和浮点包是 VHDL-2008 中引入的增强型新 IEEE 标准包。如果您当前使用的是 VHDL-93 标准定点包或浮点包,这些包在 Vivado 综合中可能有效。但您必须编辑自己的 VHDL 源文件才能执行仿真。

例如,如果您在 Vivado 综合中为定点包使用以下语法:

library ieee;
use ieee.fixed_pkg.all;

在 VHDL-2008 中请将此更改为如下语法,以供在 Vivado 仿真器内使用:

library ieee_proposed;
use ieee_proposed.fixed_pkg.all;

如需了解有关 Vivado 综合中的定点包和浮点包的更多信息,请参阅 Vivado Design Suite 用户指南:综合(UG901) 中的“定点支持”。

类似更改也适用于浮点包。