对设计示例使用测试激励文件自动生成 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文
为便于演示,此处使用 Vivado IDE 随附的 BFT 设计示例。
  1. Vivado IDE 中打开 BFT 设计示例。
  2. 调用 launch_simulation,选择 Vivado 作为仿真器。这样您将看到如下波形所示端口。

  3. 选择要生成测试激励文件的目标作用域,如下图所示:

  4. 右键单击选定的作用域,然后选择Generate VCD Port(生成 VCD 端口)。

  5. 删除波形上的所有现有信号,然后为选定的作用域选择Add to Wave Window(添加到波形窗口)。
    注释: 步骤 5 用于演示所生成的测试激励文件正确驱动设计单元的过程。
  6. 在 Tcl 控制台上使用 restartrun 2000 nsclose_vcd -ports 命令来转储信号活动。这样即可记录波形上时间 0 到 2000 ns 内的信号,如下图所示:

  7. 在 Tcl 控制台上使用 create_testbench -name demo_tb -add_to_simset demo_simset -set_as_top 命令来生成测试激励文件。这样即可以模块名称 demo_tb 来创建测试激励文件,并以此测试激励文件作为顶层模块来创建 demo_simset

  8. 使用 launch_simulation 命令搭配新生成的测试激励文件来运行仿真。
  9. 将此波形的输入/输出与您的原始设计的波形进行比较;输入/输出是相同的。

这就是您为子设计创建测试激励文件并搭配任意标准仿真器来独立使用生成的测试激励文件的方法。