更改 SystemVerilog 枚举的格式 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

SystemVerilog 枚举是具有数字值的 HDL 对象,通过为其定义文本标签来表示特定的值。例如,枚举可定义 LABEL1 以表示值 1,定义 LABEL2 来表示值 5。上下文菜单中的Show As Enumeration(显示为枚举)选项允许您指定是使用给定标签还是以数字方式来显示枚举值。在前述示例中,如果开启Show As Enumeration,那么值 5 显示为 LABEL2。如果关闭该选项,那么值 5 的显示方式与为枚举设置的任意基数中显示的方式相同,如“Radix”(基数)菜单中所示。

要使用标签显示枚举,请执行以下操作:

  1. 选中枚举
  2. 右键单击并勾选“Display As Enumeration”(显示为枚举)

要以数字方式显示枚举,请执行以下操作:

  1. 选中枚举
  2. 右键单击并取消勾选“Display As Enumeration”
    注释: 未定义标签的枚举值始终以数字方式显示,与“Display As Enumeration”设置无关。仅限 SystemVerilog 枚举对象才能启用“Display As Enumeration”选项。