更改 compile_simlib 默认设置 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

config_compile_simlib Tcl 命令支持您配置第三方仿真器选项以供 compile_simlib 命令使用。

Tcl 命令

config_compile_simlib [-cfgopt <arg>] [-simulator <arg>] [-reset] [-quiet] [-verbose]

其中:

  • -cfgopt <arg>:表示配置选项,格式为 <simulator>:<language>:<library>:<options>
  • -simulator:表示仿真器名称,您所用配置即来自该仿真器。
  • -reset:允许您为指定仿真器复位所有先前配置
  • -quiet:执行命令,但不向 Tcl 控制台显示任何信息。
  • -verbose:执行命令,并向 Tcl 控制台输出所有命令。

例如,要更改用于编译 UNISIM VHDL 库的选项,请输入:

config_compile_simlib {cxl.modelsim.vhdl.unisim:-source -93}
重要: compile_simlib 命令用于编译 AMD 原语和 AMD Vivado IP 的仿真模型。生成 AMD Vivado IP 时,其核作为输出文件来交付;因此这些 IP 核均包含在使用 compile_simlib 创建的预编译库中。

在新输出目录中使用 XILINX_PATH 来编译已安装补丁的 IP 存储库

假定已安装补丁的 IP 存储库位于以下位置:

'/test/patched_ip_repo/data/ip/xilinx'

要对默认已安装的 IP 存储库和新输出目录中 XILINX_PATH 所指向的存储库进行编译,请将 XILINX_PATH 环境 (env) 变量指向已安装补丁的 IP 存储库,并运行 compile_simlibcompile_simlib 会处理来自默认已安装的存储库和来自 XILINX_PATH 所设置的存储库的 IP 库源文件。

% setenv XILINX_PATH /test/patched_ip_repo
% compile_simlib -simulator <simulator> -directory <new_clibs_dir>

在现有输出目录中使用 XILINX_PATH 来编译已安装补丁的 IP 存储库

假定已安装补丁的 IP 存储库位于以下位置:

'/test/patched_ip_repo/data/ip/xilinx'

如果要对现有输出目录中 XILINX_PATH 所指向的存储库进行编译,但该输出目录中的库先前已为默认已安装的 IP 存储库完成了编译,那么请将 XILINX_PATH env 变量设置为指向已安装补丁的 IP 存储库,然后运行 compile_simlibcompile_simlib 会对来自现有输出目录中 XILINX_PATH 所设置的存储库的 IP 库源文件进行处理。

% setenv XILINX_PATH /test/patched_ip_repo
% compile_simlib -simulator <simulator> -directory <existing_clibs_dir>