简介 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

您可使用 SystemVerilog 直接编程接口 (DPI) 将 C 语言代码绑定到 SystemVerilog 代码。SystemVerilog 代码可使用 DPI 来调用 C 语言函数,该函数则可回调 SystemVerilog 任务或函数。AMD Vivado™ 仿真器支持使用所有构造作为 DPI 任务/函数,如下所述。