细化和生成设计快照 xelab - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

使用 Vivado 仿真器进行仿真分为两个阶段:

  • 在第一阶段,仿真器编译器 xelab 会将您的 HDL 模型编译为快照,即以仿真器可执行的形式来表示该模型。
  • 在第二阶段,仿真器会使用 xsim 命令加载并执行此快照以进行模型仿真。在非工程模式下,您可跳过第一阶段并重复第二阶段来复用此快照。

当仿真器创建快照时,它会基于模型中顶层模块的名称来给快照分配名称。但您可通过将快照名称指定为编译器的选项的方式来覆盖默认名称。在目录或 SIMSET 中快照名称必须唯一;复用快照名称(无论是默认名称还是自定义名称)都会导致覆盖先前构建的含此名称的快照。

重要: 在同一目录或 SIMSET 内无法以相同快照名称运行两次仿真。