解析设计文件 xvhdl 和 xvlog - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

xvhdl 命令和 xvlog 命令分别用于解析 VHDL 文件和 Verilog 文件。如需获取每个选项的描述,请参阅 表 1

xvhdl

xvhdl 命令是 VHDL 分析器(解析器)。

xvhdl 语法

xvhdl 
[-encryptdumps] 
[-f [-file] <filename>]
[-h [-help]
[-initfile <init_filename>]
[-L [-lib] <library_name> [=<library_dir>]]
[-log <filename>]
[-nolog] 
[-prj <filename>]
[-relax]
[-v [verbose] [0|1|2]]
[-version] 
[-work <library_name> [=<library_dir>]
[-incr]
[-2008]
[-93_mode]
[-nosignalhandlers]

此命令用于解析 VHDL 源文件,并将解析后的转储存储到硬盘上的 HDL 库中。

xvhdl 示例

xvhdl file1.vhd file2.vhd
xvhdl -work worklib file1.vhd file2.vhd
xvhdl -prj files.prj

xvlog

xvlog 命令是 Verilog 解析器。xvlog 命令用于解析 Verilog 源文件,并将解析后的转储存储到硬盘上的 HDL 库中。

xvlog 语法

xvlog 
[-d [define] <name>[=<val>]]
[-encryptdumps]
[-f [-file] <filename>]
[-h [-help]]
[-i [include] <directory_name>]
[-initfile <init_filename>]
[-L [-lib] <library_name> [=<library_dir>]]
[-log <filename>]
[-nolog]
[-noname_unamed_generate]
[-relax]
[-prj <filename>]
[-sourcelibdir <sourcelib_dirname>]
[-sourcelibext <file_extension>]
[-sourcelibfile <filename>]
[-sv]
[-v [verbose] [0|1|2]]
[-version] 
[-work <library_name> [=<library_dir>]
[-incr]
[-nosignalhandlers]
[-uvm_version arg]

xvlog 示例

xvlog file1.v file2.v
xvlog -work worklib file1.v file2.v
xvlog -prj files.prj
注释: xelabxvlogxvhdl 都不是 Tcl 命令。xvlogxvhdlxelab 均为 Vivado 无关的编译器可执行文件。因此,并没有对应的 Tcl 命令。

仿真启动与 Vivado 有关,因此通过 xsim Tcl 命令来完成。

为了在 Vivado 外使用仿真,专门提供了与 xsim 同名的可执行文件。xsim 可执行文件会在无工程模式下启动 Vivado,并执行 xsim Tcl 命令以启动仿真。因此,要在 Vivado IDE 中获取有关 xvlogxvhdlxelab 形式的帮助,请在这些命令前添加 exec。

示例:exec xvlog –help

要获取有关 xsim 的帮助,请使用 xsim –help