运行功能仿真 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

综合后功能仿真

成功完成综合运行后,您可查看Run Simulation > Post-Synthesis Functional Simulation(运行仿真 > 综合后功能仿真)选项,如前图所示。

综合后,常规逻辑设计已综合到器件专用原语内。执行综合后功能仿真可确保没有任何综合最优化会对设计的功能产生影响。选择综合后功能仿真之后,即可生成功能网表,并使用 UNISIM 库执行仿真。

实现后功能仿真

完成实现运行后,前图所示Run Simulation > Post-Implementation Functional Simulation(运行仿真 > 实现后功能仿真)选项即可变为可用。

实现后,设计即已在硬件中完成布局布线。在此阶段,功能验证可用于确定实现期间是否有任何物理最优化对设计功能产生影响。

选择实现后功能仿真之后,即可生成功能网表,并使用 UNISIM 库执行仿真。