运行综合后和实现后仿真 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

在综合后和实现后阶段,您可运行功能仿真或 Verilog 时序仿真。下图显示了综合后和实现后仿真进程:

图 1. 综合后和实现后仿真
Run Synthesis or Implementation Parse Using xvlog/xvhdl Simulation Usingxsim <snapshot> Create Netlistwrite_verilog or write_vhdl Post-SynthesisPost-ImplementationSimulation Gather Files(Create Project File ) Compile and ElaborateUsing xelab Debug in WaveformOr Self-checking Test Bench X12985 For Timing Simulationwrite_sdf

以下是从命令行运行综合后功能仿真的示例:

synth_design -top top -part xc7k70tfbg676-2
open_run synth_1 -name netlist_1
write_verilog -mode funcsim test_synth.v
launch_simulation -mode post-synthesis
提示: 运行综合后或实现后时序仿真时,必须先运行 write_verilog 命令,然后再运行 write_sdf 命令,并且需要提供相应的注解命令用于细化和仿真。