通用验证方法论支持 - 2023.2 简体中文

Vivado Design Suite 用户指南: 逻辑仿真 (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 简体中文

AMD Vivado™ 集成设计环境支持在 Vivado 仿真器 (XSim) 内使用通用验证方法论 (UVM)。Vivado 提供了预编译的 UVM v1.2 库。如果您当前通过 Vivado 运行设计,则无需进行任何设置。但如果您当前运行独立 Vivado 仿真器,您需要将 -L uvm 传递给 xvlogxelab 命令。

默认情况下,Vivado 仿真器支持 UVM v1.2。如果您要使用 UVM v1.1,则需要将 -uvm_version 1.1 传递给 xvlogxelab 命令。如果您通过 Vivado 集成设计环境来使用 UVM,则请设置以下属性:

set_property -name {xsim.compile.xvlog.more_options} -value {-uvm_version 1.1} -objects [get_filesets sim_1]
set_property -name {xsim.elaborate.xelab.more_options} -value {-uvm_version 1.1} -objects [get_filesets sim_1]

您也可以在 Vivado GUI 中使用仿真设置下的“Compilation and Elaboration”(编译和细化)选项卡来设置这些属性。如需了解更多信息,请参阅 使用仿真设置